Студопедия
Новини освіти і науки:
МАРК РЕГНЕРУС ДОСЛІДЖЕННЯ: Наскільки відрізняються діти, які виросли в одностатевих союзах


РЕЗОЛЮЦІЯ: Громадського обговорення навчальної програми статевого виховання


ЧОМУ ФОНД ОЛЕНИ ПІНЧУК І МОЗ УКРАЇНИ ПРОПАГУЮТЬ "СЕКСУАЛЬНІ УРОКИ"


ЕКЗИСТЕНЦІЙНО-ПСИХОЛОГІЧНІ ОСНОВИ ПОРУШЕННЯ СТАТЕВОЇ ІДЕНТИЧНОСТІ ПІДЛІТКІВ


Батьківський, громадянський рух в Україні закликає МОН зупинити тотальну сексуалізацію дітей і підлітків


Відкрите звернення Міністру освіти й науки України - Гриневич Лілії Михайлівні


Представництво українського жіноцтва в ООН: низький рівень культури спілкування в соціальних мережах


Гендерна антидискримінаційна експертиза може зробити нас моральними рабами


ЛІВИЙ МАРКСИЗМ У НОВИХ ПІДРУЧНИКАХ ДЛЯ ШКОЛЯРІВ


ВІДКРИТА ЗАЯВА на підтримку позиції Ганни Турчинової та права кожної людини на свободу думки, світогляду та вираження поглядів



Контакти
 


Тлумачний словник
Авто
Автоматизація
Архітектура
Астрономія
Аудит
Біологія
Будівництво
Бухгалтерія
Винахідництво
Виробництво
Військова справа
Генетика
Географія
Геологія
Господарство
Держава
Дім
Екологія
Економетрика
Економіка
Електроніка
Журналістика та ЗМІ
Зв'язок
Іноземні мови
Інформатика
Історія
Комп'ютери
Креслення
Кулінарія
Культура
Лексикологія
Література
Логіка
Маркетинг
Математика
Машинобудування
Медицина
Менеджмент
Метали і Зварювання
Механіка
Мистецтво
Музика
Населення
Освіта
Охорона безпеки життя
Охорона Праці
Педагогіка
Політика
Право
Програмування
Промисловість
Психологія
Радіо
Регилия
Соціологія
Спорт
Стандартизація
Технології
Торгівля
Туризм
Фізика
Фізіологія
Філософія
Фінанси
Хімія
Юриспунденкция






Дешифратори і шифратори

6.1. Загальна характеристика дешифраторів

Дешифратором називається функціональний вузол комп’ютера, призначений для перетворення кожної комбінації вхідного двійкового коду в керуючий сигнал лише на одному із своїх виходів. У загальному випадку дешифратор має n однофазних входів (іноді 2n парафазних) і m=2 виходів, де n – розрядність (довжина) коду, який дешифрується. Дешифратор з максимально можливим числом виходів m=2n називається повним. Функціонування повного дешифратора описується системою логічних виразів вигляду:

………………………
Fm-1 = Xn Xn-1 … X2 X1,
де X1,..., Xn – вхідні двійкові змінні; F0, F1,..., Fm-1 – вихідні логічні функ­ції, що являють собою мінтерми (конституєнти 1) n змінних.
Індекс функції Fi визначає номер обраного виходу і відповідає десятковому еквіваленту вхідного коду. Вихід, на якому з’являється керуючий сигнал, називається активним. Якщо значення сигналу на активному виході відображається лог.1, то на решті пасивних виходів встановлюється лог.0. Двійковий код, який вміщує завжди тільки одну одиницю, а інші – нулі, називається унітарним. Тому дешифратор є перетворювачем вхідного позиційного коду в унітарний вихідний код.
У дешифраторах в інтегральному виконанні стан активного виходу часто відображається значенням лог.0, а на інших пасивних виходах установлюється лог.1. Функціонування повного дешифратора з інверсними виходами представляється системою виду:

………….……………………………….

де L0, L1, ... , Lm-1 – вихідні логічні функції, що є макстермами (конституєнти 0) n змінних.
Індекс функції Li визначає номер вибраного виходу і відповідає десятковому еквіваленту вхідного коду. Між двома видами вихідних функцій існує простий зв’язок:


Дешифратори класифікують за такими ознаками:
способом структурної організації – одноступеневі (лінійні) і багатоступеневі, в тому числі пірамідальні та прямокутні (матричні);
форматом вхідного коду – двійкові, двійково-десяткові;
розрядністю коду, який дешифрується – 2, 3, ..., n;
формою подачі вхідного коду – з однофазними і парафазними входами;
кількістю виходів – повні й неповні дешифратори;
видом вхідних стробуючих сигналів – в прямому або інверс­­ному значеннях;
типом використовуваних логічних елементів – І, НЕ, ЧИ, НЕ І, НЕ ЧИ і т.д.
До основних характеристик дешифратора відносять: число ступенів (каскадів) дешифрації, кількість використаних логічних елементів або мікросхем, загальне число входів логічних елементів, час дешифрації і споживану потужність.
Умовні графічні позначення дешифраторів на електричних схемах показані на рис.6.1.

а б в
Рис. 6.1. Умовні графічні позначення дешифратора: а – на функціональних схемах; б, в – на принципіальних схемах
Логічна функція дешифратора позначається буквами DC (de-coder). Мітки лівого додаткового поля в умовному позначенні відображають десяткові ваги вхідних змінних, а мітки правого додаткового поля відповідають десятковим еквівалентам вхідних комбінацій двійкових змінних. У схему дешифраторів вбудовуються один або два стробуючих (дозволяючих) входи, наприклад, W (рис.6.1, б). За допомогою сигналу на вході W визначається момент спрацювання дешифратора; крім того, вхід W використовується для нарощування розрядності вхідного коду. На практиці повний дешифратор на n входів і m виходів для стислості називають дешифратором "з n в m" або "n ® m". Наприклад, дешифратор "з 3 у 8" – активізується одна з восьми вихідних ліній.
В комп’ютерах дешифратори використовують для виконання таких операцій:
дешифрації коду операції, записаного в регістр команд процесора, що забезпечує вибір потрібної мікропрограми; перетворення коду адреси операнда в команді в керуючі сигнали вибору заданої комірки пам’яті в процесі записування або читання інформації;
забезпечення візуалізації на зовнішніх пристроях; реалізації логічних операцій та побудови мультиплексорів і демультиплексорів.
Використання дешифраторів для дешифрації коду операції і адреси операнда, розташованих в регістрі команд процесора, показано на рис.6.2. Дешифрація коду операції в пристрої керування (ПК) визначає тип машинної команди. Дешифрація адреси операнда в оперативній пам’яті (ОП) забезпечує доступ до вказаної комірки пам’яті для записування або зчитування даних.

Рис. 6.2. Ілюстрація використання дешифраторів

6.2. Лінійні дешифратори на два входи і чотири виходи
У лінійному дешифраторі "з n в m" кожна вихідна функція Fi реалізується повністю окремим n-вхідним логічним елементом при використанні парафазного вхідного коду. Логіка роботи повних дешифраторів на два входи X1, X2 і чотири прямих виходи F0, F1, F2, F3 і чотири інверсних виходи L0, L1, L2, L3 наведена в табл.4.1 и 4.2 відповідно.

Таблиця 6.1   Таблиця 6.2
Х2 Х1 F0 F1 F2 F3   Х2            
 
 
 
 

За даними табл.6.1 отримують систему логічних функцій в ДДНФ:

Для лінійного дешифратора зі стробуючим входом W система рівнянь (4.1) набуває вигляду:
Схеми лінійних дешифраторів на основі рівнянь (6.1) и (6.2) показані на рис.6.3.

а б
Рис. 6.3. Схеми лінійних дешифраторів на елементах І: а – з парафазними входами; б – з однофазними входами і стробуванням
У схемі, зображеній на рис. 6.3, б використовується однофазний вхідний код, оскільки інверсії змінних утворюються елементами НЕ. Якщо сигнал на стробуючому вході W=0, то робота дешифратора блокується – на всіх виходах установлюються логічні нулі незалежно від значень вхідних змінних. При W=1 дешифратор фун­кціонує згідно з табл. 6.1.
За даними табл.6.2 записується система логічних функцій в ДКНФ:

Схема лінійного дешифратора з парафазним вхідним кодом та інверсними виходами, побудована згідно з рівнянням (6.3) на елементах ЧИ, показана на рис. 6.4, а.
Для лінійного дешифратора із стробуючим W входом система керування (6.3) набуває вигляду:
(6.4)
Схема лінійного дешифратора на основі рівнянь (6.4) показана на рис.6.4, б.

а б
Рис. 6.4. Схема лінійних дешифраторів на елементах ЧИ: а – з парафазними входами; б – з однофазними входами і стробуванням

Тут використовується однофазний вхідний код, оскільки інверсії змінних утворюються елементами НЕ. Якщо сигнал на стробуючому вході W=1, то робота дешифратора блокується – на всіх виходах встановлюються лог. 1 незалежно від значень вхідних змінних. При W=0 дешифратор функціонує згідно з табл.6.2.

6.3. Пірамідальні дешифратори
У пірамідальному дешифраторі число ступенів на одиницю менше розрядності вхідного коду, тобто K=n–1. В усіх ступенях використовуються тільки двовходові логічні елементи. На першому ступені використовуються лінійні дешифратори на два входи і чотири виходи. Число логічних елементів у кожному ступені дорівнює Mi=2i+1, де i=1, 2, ..., k. Це означає, що кожен подальший ступінь має в два рази більше елементів, ніж попередній. Вихід елемен­та i-го ступеня підключається до входів тільки двох елементів (i+1)-го ступеня.
Пірамідальна структура для реалізації повного дешифратора "з 3 в 8" описується системою мінтермів виду:

Схема пірамідального дешифратора з парафазним вхідним кодом на три входи і вісім виходів показана на рис.6.5.
На першому ступені дешифруються змінні X2 і X1, на другому ступені добавляється розряд X3. При більшому числі розрядів дешифрованого коду, наприклад, n>10, дешифратор в n/4 економічніше лінійного.

Рис. 6.5. Схема пірамідального дешифратора на три входи і вісім виходів

Основним недоліком пірамідального дешифратора є велике число ступенів, що суттєво збільшує час дешифрації коду.

6.4. Прямокутні дешифратори

Прямокутний дешифратор будується за двоступеневою схемою. При цьому вхідний код розбивається на дві групи по n/2 розрядів при парному n; при непарній розрядності групи вміщують нерівне число змінних. Дві групи змінних декодуються на першому ступені двома повними лінійними (можливо і пірамідальними) дешифраторами, а на другому ступені формуються вихідні функції.
Умовно вважають, що один з дешифраторів першого ступеня формує адреси рядків матриці, а другий – адреси стовпчиків матриці. На перетині ліній рядків і стовпчиків підключається m=2n двовходових схем збігу, які утворюють другий, вихідний ступінь дешифратора. При парному n матриця вентилів квадратна, при непарному n – прямокутна. Тому такі дешифратори називаються матричними або прямокутними.
Запишемо систему вихідних функцій повного дешифратора "з 4 в 16" у вигляді таких скорочених значень:
(6.5)
де введені дворозрядні функції і які реалізуються дешифраторами рядків і стовпчиків відповідно:
(6.6)
Схема прямокутного дешифратора на основі рівнянь (6.5) і (6.6) показана на рис.6.6.

Рис. 6.6. Схема прямокутного дешифратора
При великому числі розрядів прямокутний дешифратор майже у n/2 рази економічніший лінійного і у два рази – пірамідального.

6.5. Багатоступеневі дешифратори.
Каскадування дешифраторів
Принцип побудови багатоступеневих дешифраторів полягає у послідовному розбитті вхідного багаторозрядного коду до отримання у кожній групі двох - трьох розрядів. Як приклад на рис. 4.7 показано розбиття коду, який дешифрується для n=10 и n=13. Після цього багатоступенева схема дешифратора зображується у вигляді з’єднання ряду лінійних схем.

Рис. 6.7. Розбиття вхідного коду, який дешифрується на групи: а – при n=10; б – при n=13

Під каскадуванням (нарощуванням) розуміють спосіб з’єднання дешифраторів у вигляді мікросхем середнього ступеня інтеграції для одержання більшої розрядності вхідного коду. З’єднання двох трирозрядних дешифраторів типу K555ІД3 для декодування чотирирозрядного коду показано на рис. 4.8.
Вхідні змінні X1, X2 і X3 подаються паралельно на входи обох дешифраторів: змінна X4 подається безпосередньо на вхід стробування першого дешифратора, через інвертор – на вхід стробування другого дешифратора. Ця каскадна схема працює так. Якщо значення старшого розряду вхідного коду X4 = 0, то в роботу включається перший дешифратор з інверс­ними вісьмома виходами L0,..., L7, при цьому другий дешифратор блокований (вимкнений) і на його виходах L8,..., L15 встановлюються високі рівні. При X4=1 блокується перший дешифратор і включається в роботу друга мікросхема.
Таким чином, через наявність стробуючого входу два трирозрядних дешифратори утворюють схему дешифрації чотирирозрядного коду.

 

 


Читайте також:

  1. Дешифратори
  2. Дешифратори й індикатори
  3. Дешифратори.
  4. Лінійні дешифратори
  5. Тема 3.1. Дешифратори, шифратори, мультиплексори, селектори
  6. ШИФРАТОРИ
  7. Шифратори і дешифратори
  8. Шифратори.




Переглядів: 3683

<== попередня сторінка | наступна сторінка ==>
Лічильники | ШИФРАТОРИ

Не знайшли потрібну інформацію? Скористайтесь пошуком google:

 

© studopedia.com.ua При використанні або копіюванні матеріалів пряме посилання на сайт обов'язкове.


Генерація сторінки за: 0.005 сек.